Inhaltsverzeichnis:

Videoverarbeitung mit VHDL und einem Zybo - Gunook
Videoverarbeitung mit VHDL und einem Zybo - Gunook

Video: Videoverarbeitung mit VHDL und einem Zybo - Gunook

Video: Videoverarbeitung mit VHDL und einem Zybo - Gunook
Video: FPGA Video Processing: Gaussian Blur 2024, Juli
Anonim
Image
Image
Erstellen Sie ein neues Vivado-Projekt
Erstellen Sie ein neues Vivado-Projekt

FPGAs sind schneller zu verarbeiten als CPUs, da sie viele Berechnungen parallel durchführen können

Hinweis: Dieses Projekt befindet sich noch im Aufbau und wird verbessert (sobald ich Zeit habe). Inzwischen reise ich um die Welt…..

Schritt 1: Erstellen Sie ein neues Vivado-Projekt

Erstellen Sie ein neues Vivado-Projekt
Erstellen Sie ein neues Vivado-Projekt
Erstellen Sie ein neues Vivado-Projekt
Erstellen Sie ein neues Vivado-Projekt

Nachdem Sie Vivado geöffnet haben, müssen Sie zunächst ein neues Projekt auf Ihrem Arbeitsbereich erstellen, in dem Sie arbeiten möchten.

Benennen Sie Ihr Projekt später und wählen Sie den Projektspeicherort.

Wählen Sie Zybo als Zielboard oder ein anderes Zynq-Board, das Sie verwenden möchten.

Schritt 2: Erstellen Sie ein Blockdiagramm mit Basic

Erstellen Sie ein Blockdiagramm mit Basic
Erstellen Sie ein Blockdiagramm mit Basic
Erstellen Sie ein Blockdiagramm mit Basic
Erstellen Sie ein Blockdiagramm mit Basic
Erstellen Sie ein Blockdiagramm mit Basic
Erstellen Sie ein Blockdiagramm mit Basic

Fügen Sie ein neues Zynq_Processing_system-Diagramm hinzu.

Fügen Sie auch die IPs Dvi2rgb und rgb2vga hinzu

Zusätzlich zu diesen Blöcken werden zwei Konstanten verwendet, um den HDMI-Port als Senke zu konfigurieren.

[Entschuldigung, wegen doppelten Inhalts wurde dieses Tutorial von hier entfernt… auf die ursprüngliche Website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Schritt 3: Einfügen einer VHDL-Ressource

Einfügen einer VHDL-Ressource
Einfügen einer VHDL-Ressource
Einfügen einer VHDL-Ressource
Einfügen einer VHDL-Ressource
Einfügen einer VHDL-Ressource
Einfügen einer VHDL-Ressource

Der Benutzerblock sollte erstellt werden, drücken Sie dazu Strg+A oder suchen Sie im linken Bereich nach "Neue Quelle hinzufügen".

Erstellen Sie eine neue Datei mit VHDL, fügen Sie sie dem Diagramm hinzu und bearbeiten Sie dann den VHDL-Code.

[Entschuldigung, wegen doppelten Inhalts wurde dieses Tutorial von hier entfernt… auf die ursprüngliche Website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Schritt 4: Fügen Sie zusätzliche Onboard-Perifericals wie LEDs, Schaltflächen oder Schieberegler hinzu (optional)

Fügen Sie zusätzliche Onboard-Perifericals wie LEDs, Schaltflächen oder Schieberegler hinzu (optional)
Fügen Sie zusätzliche Onboard-Perifericals wie LEDs, Schaltflächen oder Schieberegler hinzu (optional)

Um einige Schieberegler und LEDs für eine spätere mögliche Verwendung hinzuzufügen.

[Entschuldigung, wegen doppelten Inhalts wurde dieses Tutorial von hier entfernt… auf die ursprüngliche Website www.miscircuitos.com/video-processing-using-vhdl-…]

Schritt 5: Jetzt können Sie Ihren VHDL-Code in den Anwendungsblock einfügen

Jetzt können Sie Ihren VHDL-Code in den Anwendungsblock einfügen
Jetzt können Sie Ihren VHDL-Code in den Anwendungsblock einfügen

Nachdem Sie das VideoProcessing-Modul hinzugefügt haben, drücken Sie zum Bearbeiten des Codes. Ein Beispiel für einen Startcode ist beigefügt

[Entschuldigung, wegen doppelten Inhalts wurde dieses Tutorial von hier entfernt… auf die ursprüngliche Website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Schritt 6: Erstellen Sie einen Wrap

Erstellen Sie einen Wrap
Erstellen Sie einen Wrap
Erstellen Sie einen Wrap
Erstellen Sie einen Wrap

Machen Sie vorher einen Wrap für die Synthese des Blockdiagramms.

Schritt 7: Synthese, Implementierung und Bitstream-Generierung

Synthese, Implementierung und Bitstream-Generierung
Synthese, Implementierung und Bitstream-Generierung

Fügen Sie die Pin-Beschreibungen für Einschränkungen hinzu. Es ist angehängt.

die Synthese ausführen. Später die Implementierung und dann den Bitstream generieren, der auf das FPGA geladen wird.

Schritt 8: Hardware exportieren und SDK starten

Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten
Hardware exportieren und SDK starten

Exportieren Sie die Hardware und starten Sie das SDK.

Schritt 9: Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung

Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung
Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung
Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung
Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung
Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung
Erstellen Sie ein neues Board-Support-Paket und eine neue Anwendung

Erstellen Sie ein Board-Support-Paket. Dann öffnen oder erstellen Sie eine Anwendung mit der Vorlage "Hallo Wort".

Führen Sie dann das FPGA aus

Schritt 10: FPGA programmieren und den Hello World Code ausführen

Image
Image
FPGA programmieren und Hello World Code ausführen
FPGA programmieren und Hello World Code ausführen

Dies ist nur ein kleines Beispiel, bei dem die Farbkanäle ausgetauscht werden.

Vielen Dank!

[Entschuldigung, wegen doppelten Inhalts wurde dieses Tutorial von hier entfernt… auf die ursprüngliche Website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Empfohlen: