Inhaltsverzeichnis:

Lights Out Nachtlicht - Gunook
Lights Out Nachtlicht - Gunook

Video: Lights Out Nachtlicht - Gunook

Video: Lights Out Nachtlicht - Gunook
Video: Lights out, night light on (funny animation) 2024, Juli
Anonim
Licht aus Nachtlicht
Licht aus Nachtlicht

Es ist Zeit fürs Bett. Sie stehen auf, um das Licht für die Nacht auszuschalten, und nachdem Sie den Schalter umgelegt haben, stellen Sie fest, dass Sie die pechschwarze Reise zurück in Ihr sicheres Bett vor sich haben. Zum Glück wurden Nachtlichter erfunden, und Sie sind an der richtigen Stelle, um eines zu finden! Aber… wie können Sie einschlafen, wenn dieses lästige Nachtlicht die ganze Nacht hindurch Ihr Zimmer erhellt? Sind Sie nicht auch müde von dieser leeren Dunkelheit, die dazu führt, dass Ihre Nachtlichter an bleiben und Energie verschwenden? Dann sind Sie NOCH an der richtigen Adresse, denn wir haben genau das, was Sie brauchen!

Wir möchten Ihr Leben erhellen, indem wir Ihnen helfen, ein nachhaltiges Nachtlicht herzustellen.

In diesem Tutorial werden wir Sie durch den Bau eines Nachtlichts führen, das sich mit einem Timer ausschaltet. Das System kann über einen Lichtsensor erkennen, wann das Hauptlicht ausgeschaltet ist, und das Licht für eine vom Benutzer eingestellte Zeit einschalten und nach Ablauf dieser Zeit wieder ausschalten. Dieses Nachtlicht unterscheidet sich von anderen Nachtlichtern, da es Energie verschwendet, indem es eingeschaltet bleibt, wenn Sie schlafen und es nicht brauchen. Dieses Projekt verwendet zwei Arten von Boards, Basys 3 und Arduino, und einen Lichtsensor.

Schöpfer: Luke McDaniel, Erik Ramazzini, Monica Negrete, Hayley Young

Schritt 1: Materialien und Software

Materialien und Software
Materialien und Software
Materialien und Software
Materialien und Software
Materialien und Software
Materialien und Software

Materialien

Basys 3 Artix-7 FPGA-Trainerboard

store.digilentinc.com/basys-3-artix-7-fpga…

Arduino Uno Rev3

store.arduino.cc/usa/arduino-uno-rev3

Steckbrett

www.amazon.com/Elegoo-EL-CK-002-Elektronik…

10k Ω Widerstand

Gleicher Link wie Steckbrett

Überbrückungsdrähte

Gleicher Link wie Steckbrett

Lichtsensor (Mini-Fotozelle)

www.sparkfun.com/products/9088

Software

Vivado HL WebPACK Edition (Angehängtes PDF enthält Anweisungen)

www.xilinx.com/products/design-tools/vivad…

Arduino-IDE

www.arduino.cc/en/Main/Software

Schritt 2: Systemarchitektur

Systemarchitektur
Systemarchitektur
Systemarchitektur
Systemarchitektur

Der nächste Schritt besteht darin, die Systemarchitektur zu verstehen. Wir haben ein Black-Box-Diagramm und einen endlichen Automaten (siehe oben) erstellt, um die Struktur unseres Designs zu organisieren, bevor wir uns an die Logistik machen

Gesamtkonzept

Eingänge

Lichtsensor: bestimmt die Lichtmenge im Raum

Ausgänge

  • Anoden: bestimmt, welche 7-Segment-Anzeigen verwendet werden
  • Segmente: zeigt den Timer an
  • LED: zeigt die Nachtlichtbedingungen von ON oder OFF an

Arduino

Eingang

Lichtsensorsignal: Analogwert der Lichtmenge im Raum

Ausgabe

Lichteingang (1 Bit): Signal, das den Lichtzustand des Raumes bestimmt

Basys 3

Eingang

  • Lichteingang (1 Bit): Signal, das den Lichtzustand des Raumes bestimmt
  • Schalter
  • CLK

Ausgabe

  • Anoden: legt fest, welche 7-Segment-Anzeigen verwendet werden
  • Segmente: zeigt den Timer an
  • LED: zeigt Nachtlichtbedingungen von ON oder OFF an

Schritt 3: Hardware und Arduino-Code

Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code
Hardware und Arduino-Code

Hardware

Um den Arduino-Code zu verstehen, müssen wir die Hardware verstehen, mit der der Code interagiert. Die Schaltung auf unserem Steckbrett enthält eine Fotozelle, eine Leuchtdiode und mehrere Drähte und Widerstände, um sie zu vervollständigen. Die Schaltung beginnt, indem sie Strom an die Fotozelle sendet, die dann die sie umgebende Lichtmenge misst. Diese Informationen werden auf den analogen Pin A0 übertragen und damit für das Basys-Board lesbar gemacht. Das Basys-Board nimmt dann diese Informationen auf, beginnt mit dem Zählen und sendet ein Signal zum Einschalten der LED.

Arduino-Code

Der Arduino-Code selbst kommuniziert mit dem Basys-Board, indem er ihm ein Signal sendet, wenn das Licht um das Gerät dunkler als ein bestimmter Schwellenwert ist. Dieses Signal, das von einem dunklen Raum mit Leitung zur LED ausgelöst wird, schaltet sich ein. Wir haben durch Experimente herausgefunden, dass die durchschnittliche Schwelle für unsere spezifische Fotozelle in dunklen Räumen 30 - 60 beträgt. Jede Fotozelle hat eine andere Empfindlichkeit, daher können andere Fotozellen andere Schwellen haben. In unserem veröffentlichten Code haben wir zu Demonstrationszwecken den Schwellenwert von 100 festgelegt.

Empfohlen: